MOUNTAIN VIEW, Calif.,
May 26, 2019 /PRNewswire/ --
Highlights:
- Synopsys' Fusion Design Platform enables faster implementation
with optimized PPA for Arm processors
- QuickStart Implementation Kit (QIK) using Arm Artisan Physical
IP and POP IP, including scripts and reference guide, available
today from Synopsys for new Arm Cortex-A77 processor in 7nm process
technology
- Tapeout success by early adopters of Arm Cortex-A77 CPU and
Mali-G77 GPU
- Synopsys' Verification Continuum Platform accelerates
verification closure and quality for Arm-based designs
- DesignWare Interface IP, including controllers and PHY for USB,
DDR, PCI Express, MIPI, and mobile storage, enables rapid
development of mobile Arm-based SoCs
Synopsys, Inc. (Nasdaq: SNPS) today announced that
Synopsys and Arm have collaborated to enable tapeouts of optimized
system-on-chip (SoC) design and verification for early adopters of
Arm's latest premium mobile processor IP, including the
Arm® Cortex®-A77 CPU and Mali™-G77 GPU. Synopsys solutions
enable optimized design of smartphones, laptops, other mobile
devices, 5G, augmented reality (AR), and machine learning (ML)
products using Arm's new processors including Synopsys' Fusion
Design Platform™, Verification Continuum™
Platform, and DesignWare® Interface IP. In addition,
Synopsys' QuickStart Implementation Kits (QIKs) for Cortex-A77 and
Cortex-A55 in 7-nanometer (nm) process technology using Arm
Artisan® Physical IP and POP™ IP are
available today to accelerate time-to-market and achieve optimal
power, performance, and area (PPA).
"For more than 25 years, our collaboration with Synopsys has
enabled designers to bring innovative products to market quickly
while meeting power, performance, and area targets," said
Ian Smythe, vice president of
marketing, Client Line of Business, Arm. "Building on the previous
successes of the Cortex-A76 and the Neoverse N1 platform, early
engagement with Synopsys on our new suite of IP has delivered
complete solutions to enable the next generation of Arm-based
mobile devices."
The QIKs for Cortex-A77 and Cortex-A55 leverage the
collaboration that enabled successful early adopter tapeouts of
products using the previous generation of Arm premium mobile
processor IP. The QIKs, which include implementation scripts and
reference guides, take advantage of new Fusion Technology to
deliver enhanced PPA and faster turnaround. The QIKs were built
using Arm POP technology optimized for these Arm-based mobile
processors in 7nm process technology. To help designers achieve
their targets quickly and confidently, Synopsys offers design
services based on extensive experience hardening Arm processors;
services available range from QuickStart implementation through
turnkey core hardening.
Synopsys' Fusion Design Platform has been leveraged for
optimized implementation of the new mobile cores:
- 7nm and below implementation in Fusion Compiler™
design, Design Compiler® Graphical synthesis, and IC
Compiler™ II place-and-route system
- Higher performance with automatic density control and
timing-driven placement
- Lower power with full-flow concurrent clock and datapath (CCD)
optimization
- Signoff closure with PrimeTime® PBA-based ECO with
power recovery and exhaustive PBA along with StarRC™
simultaneous multi-corner extraction
- Early, accelerated design optimization for power integrity and
reliability with the RedHawk™ Analysis Fusion
signoff-driven flow within IC Compiler II
Early adopters of Arm's new premium mobile platform are using
Synopsys' Verification Continuum solutions extensively:
- Synopsys Virtualizer™ Development Kit (VDK) Family
for Arm processors, with Arm Fast Models for Cortex-A77 and
Cortex-A55, and Synopsys HAPS® FPGA-based
prototypes
- Synopsys VCS® simulation with fine-grained
parallelism technology for Arm Cortex-A processors
- Synopsys industry-first verification IP and test suites for the
latest Arm AMBA® interconnect
- Synopsys ZeBu® emulation
Synopsys' high-quality DesignWare Interface IP enables rapid
development of mobile Arm-based SoCs. DesignWare IP for mobile
markets includes controllers and PHYs for USB, DDR, PCI
Express®, MIPI, and mobile storage interfaces, and is
shipping in billions of units today.
"Early adopters of Arm's latest premium mobile platform IP,
including Cortex-A77 CPU and Mali-G77 GPU, benefit from our early and deep
collaboration with Arm to co-optimize our design, verification, and
interface IP solutions," said Deirdre
Hanford, co-general manager, Synopsys Design Group.
"Building on our collaboration on previous generations of Arm
premium mobile IP, especially including Cortex-A76, the combination
of Synopsys' Fusion Design Platform, Verification Continuum
Platform, and DesignWare Interface IP delivers superior power,
performance, and area with accelerated time-to-market for Arm-based
products, enabling tapeout success for early adopters."
Availability
QIKs for the new Cortex-A77, Cortex-A55, and other key Arm
processors are available today at
https://www.synopsys.com/arm-opto.
More information about Synopsys' solutions for designing
Arm-based products is available at: https://synopsys.com/Arm. You
can view a video of the joint 2019 Arm/Synopsys SNUG Silicon Valley
presentation on "Best Practices for Implementing the Latest Arm
Processors," including Cortex-A77, at
https://solvnet.synopsys.com/retrieve/3063262.html.
About Synopsys
Synopsys, Inc. (Nasdaq: SNPS) is the Silicon to
Software™ partner for innovative companies
developing the electronic products and software applications we
rely on every day. As the world's 15th largest software
company, Synopsys has a long history of being a global leader in
electronic design automation (EDA) and semiconductor IP and is also
growing its leadership in software security and quality solutions.
Whether you're a system-on-chip (SoC) designer creating advanced
semiconductors, or a software developer writing applications that
require the highest security and quality, Synopsys has the
solutions needed to deliver innovative, high-quality, secure
products. Learn more at www.synopsys.com.
Editorial
Contact:
James Watts
Synopsys, Inc.
650-584-1625
jwatts@synopsys.com
View original
content:http://www.prnewswire.com/news-releases/synopsys-and-arm-collaborate-to-enable-tapeouts-by-early-adopters-of-arms-latest-premium-mobile-processors-300856903.html
SOURCE Synopsys, Inc.